CEO Pat Gelsinger Unveils a New Roadmap To Turn the Scales Back in Intel’s Favor

essidsolutions

Long-time Intel insider and current CEO Pat Gelsinger has set out to reestablish the company as THE premier global chipmaker. At the Intel Accelerated webcast, Gelsinger announced plans to fast-track Intel 7, Intel 4, Intel 3, and Intel 20A to counter AMD’s rise. Gelsinger also greenlit Intel Foundry Services to produce chips for other chip designers.

In what may be construed as a rebranding move to regain the lost glory of yesteryears, Intel on Monday set forth its plans to reclaim the top position in the semiconductor space. Intel has thus set aside the nomenclature that chipmakers have used in the past and until now, to make way for new names for existing as well as some of the most ambitious products it plans to make in the near future.

Not only has Intel already lost out to SamsungOpens a new window as the world’s largest chipmaker globally, but the company has also slid behind NVIDIA in the United States as the country’s most valuable chipmaker. As such, the process and packaging technology roadmap by Intel at yesterday’s Intel AcceleratedOpens a new window webcast offers a glimpse into the company’s foray into the market until 2025.

As part of the company’s losing struggle against the likes of Advanced Micro Devices (AMD), Taiwan Semiconductor Manufacturing Co (TSMC), and others besides Samsung and NVIDIA, Intel also plans to open up its foundries for previous rivals such as Qualcomm under Intel Foundry Services.

Intel hopes to become the leading provider of silicon globally as it moves into this new industry vertical. These undertakings form a part of the Integrated Device Manufacturer or IDM 2.0 under Pat Gelsinger, who was brought in as Intel’s new CEO in February 2021 after a series of missteps that saw the semiconductor giant lose its crown to rivals.

“Building on Intel’s unquestioned leadership in advanced packaging, we are accelerating our innovation roadmap to ensure we are on a clear path to process performance leadership by 2025,” Gelsinger said during Intel Accelerated webcast. “We are leveraging our unparalleled pipeline of innovation to deliver technology advances from the transistor up to the system level.”

Gelsinger, who targeted 2025 as the year when Intel becomes a semiconductor leader again but without explaining how until now added, “Until the periodic table is exhausted, we will be relentless in our pursuit of Moore’s Law and our path to innovate with the magic of silicon.” We’re fairly certain that Intel won’t have to go that far, so let us see what the company has in store for, well, itself.

See Also: New IBM 2nm Chip Design Out, Semiconductor Breakthrough To Boost AI, 5G, 6G, Edge Computing, and Autonomous Systems

Process Production and Nomenclature

Intel barely scraped by during its transition to the 10nm process, which was difficult, to say the least. Its technological woes are not unknown, which is why the company lags behind AMD and TSMC when it comes to advanced process nodes. According to Gelsinger, the production volume of the 10nm SuperFin has already surpassed that of the 14nm process.

Intel has even announced an investment of $20 billion in the Arizona OcotilloOpens a new window campus and a separate $3.5 billion for the New MexicoOpens a new window manufacturing facility. Before Gelsinger, a former VMware CEO was brought in to replace Bob Swan to revamp the Santa Clara, CA-based company’s business strategy following a push by high-profile investor Daniel Loeb, Dr. Ann B KelleherOpens a new window , a GM of Intel Fabs was named as the SVP and GM of the entire Technology Development division.

In her new role, not only is Kelleher expected to transition to advanced 7nm nodes, which by the way are still slated for the 2022 release but she’s also expected to lead Intel’s new nomenclature for upcoming chips.

Essentially, the 10nm Enhanced SuperFin node will be used in the upcoming 12th generation of Intel Alder Lake processors that will be known as Intel 7. The 10nm Enhanced SuperFin or Intel 7 offers a 10 to 15% enhancement in performance per watt (and not raw) over the existing 10nm SuperFin process used in Tiger Lake and Intel’s Xe-LP. Intel 7 is expected to hit the market in 2022.

To the uninitiated, this may seem like a simple rebranding to better position Intel’s antiquated technology against AMD’s 7nm processes used in Ryzen 7 or 9. And while it does offer Intel a fresh footing in the market, the company says it has more to do with the outdated nomenclature process being used since 1960.

The last time the nanometer-scale i.e., sticking ‘nm’ in front of a number was relevant was decades ago in 1997 when nodes were named according to the size of the transistors used. So even if an Intel processor based on a 10nm node is comparable with a TSMC or AMD processor based on a 7nm node in terms of performance, some of which actually are, Intel is at a disadvantage considering its rivals are branding products based on a nomenclature that has no material or technical basis.

Industry analyst David Kanter, serving as the president of Real World Insight, told VentureBeatOpens a new window , “I think Intel’s renaming is pretty consistent with the foundry naming scheme. For historical reference, things got out of sync because of TSMC’s 16nm process, which was really their 20nm process with FinFET transistors. So it didn’t really improve density (but the FinFETs boosted performance), but TSMC decided to call it 16nm anyway … and the whole industry followed.”

“I think a lot of the motivation here is adopting terminology that allows effective communication and understanding throughout the community of customers, suppliers, press, analysts, investors, etc. And generally, I think the renaming will help folks understand the situation more accurately,” he added.

Intel 7, 4, 3

Going forward, Intel’s 10nm Enhanced SuperFin node will be known as Intel 7, the in-design 7nm node will become Intel 4, while the next one (possibly what would’ve been the 5nm node) will be called Intel. The 10nm SuperFin node will retain its name.

Intel 4 is designed to outperform the previous generation nodes by 20% in performance per watt over. Expected by the second half of 2022 after a 12-month delay that crashed Intel’s share price by 16% in 2020, it will be used in Meteor Lake processors for computers, and Xeon Scalable product Granite Rapids for data centers.

Intel 4 is the first node that will fully use extreme ultraviolet lithography (EUV), a technology currently used by Samsung and TSMC for their respective 5nm nodes. “EUV involves a highly complex optical system of lenses and mirrors that focuses a 13.5 nm wavelength of light to print incredibly small features on silicon,” Kelleher explained.

Intel 4-based products will be available by 2023.

For Intel 3, the company will further ramp up the use of EUV for high-density libraries to deliver an 18% performance boost over Intel 4. Going by the previous naming system, Intel 3 would’ve been known as the second-generation 7nm node. Products based on Intel 3 can be expected to be available by the second half of 2023.

Opens a new window

Intel 3 will be followed up with Intel 20A, which is where the company says it marks the “start of the Angstrom era of semiconductors,” with the A in 20A standing for Angstrom, a unit of measurement lower than the nanometer (1 nm = 10 Å). However, as is the case with the previous nm-based names, the angstrom unit is not indicative of the transistor measurement.

The Angstrom Era

Intel 20A is the most significant announcement, considering the company will shed the FinFET (debuted in 2011) technology for RibbonFET, a new transistor architecture technology based on Gate-All-Around (GAA). It will also feature PowerVia, Intel’s implementation of backside power delivery to optimize signal transmission by eliminating the need for power routing on the front side of the wafer. PowerVia was possible because of deviation from horizontally stacked transistors in FinFET to vertically stacked transistors in RibbonFET.

Opens a new window

FinFET vs RibbonFET | Source: Intel

Dr. Sanjay NatarajanOpens a new window , a research professor at Portland State University was called back as the SVP and co-general manager of Logic Technology Development at Intel. Natarajan, who spent over 22 years at Intel between 1993 and 2015 also introduced Intel 18A besides Intel 20A, which is slated for early 2025. Intel 20A should be available by 2024.

The company said customers will have to wait a bit more for details of Intel 18A.

See Also: Biden Takes Steps to Overcome the Global Semiconductor Chip Shortage

Intel Foundry Services

Technological innovations related to silicon are one thing. The ability to execute is another. Fortunately for the company’s future, Intel is well versed in both. Intel is thus giving a major boost to the Intel Foundry Services (IFS) to target those who outsource chip production to TSMC and others. TSMC currently handles 55%Opens a new window of the total global silicon demand.

“The innovations unveiled today will not only enable Intel’s product roadmap; they will also be critical for our foundry customers,” Gelsinger said. “The interest in IFS has been strong.” This is why IFS is a major part of Intel’s IDM 2.0.

Telecom chipmaker Qualcomm, for instance, has expressed its interest in the Intel 20A. The company’s president and CEO Cristiano Amon said, “Qualcomm is excited about the breakthrough RibbonFET and PowerVia technologies coming in Intel 20A. We’re also pleased to have another leading-edge foundry partner enabled by IFS that will help the U.S. fabless industry to bring its products to an onshore manufacturing site.”

Amazon Web Services will also be a major customer for IFS, according to Gelsinger. However, AWS won’t leverage Intel for its chip production services but for its packaging technology, wherein the company assembles and stacks chips and chiplets (also called tiles).

Intel’s packaging roadmap includes augmentation of its die-to-die stacking technology Foveros with the third generation Foveros Omni and the fourth generation Foveros Direct.

Besides Qualcomm and AWS, Intel will also accept and make chips designed by its competitors, with the intention to pull some of the demand away from TSMC, GlobalFoundries, Samsung, UMC, etc.

Closing Thoughts

Intel doesn’t expect to dethrone the likes of Samsung or TSMC before 2024. Until then, the company can only play catch up to its rivals by focusing on rapid innovation clubbed with a push for strategic business objectives such as IFS.

The $20 billion investment in Arizona alone suggests Intel’s resolve to come out of the weeds. More importantly, it has the backing of Qualcomm, a company that presently gets a bulk of its chips manufactured at TSMC and Samsung foundries. Qualcomm is second only to MediaTekOpens a new window in smartphone chipset development. Apple, AMD, and even NVIDIA outsource chip manufacturing to Taiwan and elsewhere.

Under Gelsinger, a former Intel CTO, VP, and GM (so basically an insider), who was specifically brought to chart Intel’s ascension to the top, Intel has decided not to back down without resistance. And if Intel manages to deliver what it has set out to do, regaining market leadership is only a few years away.

Let us know if you enjoyed reading this news on LinkedInOpens a new window , TwitterOpens a new window , or FacebookOpens a new window . We would love to hear from you!